首页 > 经典文章 > 经典美文 > 555振荡电路

555振荡电路

时间:2018-07-29   来源:经典美文   点击:

【www.gbppp.com--经典美文】

555振荡电路 第一篇_555时基电路组成的振荡电路集锦

一、555单稳类电路 555单稳工作方式,它可分为2种。见图示。

第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1 和1.1.2为代号。他们的输入端的形式,也就是电路的结构特点是:“RT-6.2-CT”和“CT-6.2-RT”。

第2种(图2)是脉冲启动型单稳,也可以分为2个不同的单元。他们的输入特点都是“RT-7.6-CT”,都是从2端输入。1.2.1电路的2端不带任何元件,具有最简单的形式;1.2.2电路则带有一个RC微分电路。 二、555双稳类电路

第一种(见图1)是触发电路,有双端输入(2.1.1)和单端输入(2.1.2)2个单元。单端比较

器(2.1.2)可以是6端固定,2段输入;也可是2端固定,6端输入。

第2种(见图2)是施密特触发电路,有最简单形式的(2.2.1)和输入端电阻调整偏置或在控制端(5)加控制电压VCT以改变阀值电压的(2.2.2)共2个单元电路。双稳电路的输入端的输入电压端一般没有定时电阻和定时电容。这是双稳工作方式的结构特点。2.2.2单元电路中的C1只起耦合作用,R1和R2起直流偏置作用。 三、555无稳类电路

第一种(见图1)是直接反馈型,振荡电阻是连在输出端VO的。

第 二种(见图2)是间接反馈型,振荡电阻是连在电源VCC上的。其中第1个单元电路(3.2.1)是应用最广的。第2个单元电路(3.2.2)是方波振荡电 路。第3、4个单元电路都是占空比可调的脉冲振荡电路,功能相同而电路结构略有不同,因此分别以3.2.3a 和3.2.3b的代号。

第三种(见图3)是压控振荡器。由于电路变化形式很复杂,为简单起见,只分成最简单的形式(3.3.1)和带辅助器件的(3.3.2)两个单元。图中举了两个应用实例。无稳电路的输入端一般都有两个振荡电阻和一个振荡电容。只有一个振荡电阻的可以认为是特例。例如:3.1.2单元可以认为是省略RA的结果。有时会遇上7.6.2三端并联,只有一个电阻RA的无稳电路,这时可把它看成是3.2.1单元电路省掉RB后的变形。

Astable 555 Square Wave Calculator 555计算器

555 timer 原理

LED Dimmer Circuit 555实际应用

NE555 PWM 一实用帖子

A Simple PWM Circuit Based on the 555 Timer

555振荡电路 第二篇_555 振荡器工作原理

555 多谐振荡器 工作原理

原理

1、555定时器内部结构

555定时器是一种模拟电路和数字电路相结合的中规模集成电路,其内部结构如图(A) 及管脚排列如图(B)所示。

它由分压器、比较器、基本R--S触发器和放电三极管等部分组成。分压器由三个5K的等值电阻串联而成。分压器为比较器A1、A2提供参考电压,比较器A1的参考电压为Vcc,加在同相输入端,比较器A2的参考电压为231V,加在反相输入端。比较器由两个结构相同3cc

的集成运放A1、A2组成。高电平触发信号加在A1的反相输入端,与同相输入端的参考电压比较后,其结果作为基本R--S触发器RD端的输入信号;低电平触发信号加在A2的同相输入端,与反相输入端的参考电压比较后,其结果作为基本R—S触发器SD端的输入信号。基本R--S触发器的输出状态受比较器A1、A2的输出端控制。 __

多谐振荡器工作原理

由555定时器组成的多谐振荡器如图(C)所示,其中R1、R2和电容C为外接元件。其工作波如图(D)所示。

设电容的初始电压Uc=0,t=0时接通电源,由于电容电压不能突变,所以高、低触

_1发端VTH=VTL=0<VCC,比较器A1输出为高电平,A2输出为低电平,即RD1,3

SD0(1表示高电位,0表示低电位),RS触发器置1,定时器输出u01此时Q0,定时器内部放电三极管截止,电源Vcc经R1,R2向电容C充电,uc逐渐升高。当uc上升到

__1RS触发顺保持状态不变。Vcc时,A2输出由0翻转为1,这时RDSD1,所以0<t<t13__

期间,定时器输出u0为高电平1。

__2RS比较器A这时RD0,SD1,tt1时刻,uc上升到Vcc,1的输出由1变为0,3

触发器复0,定时器输出u00。

t1tt2期间,Q1,放电三极管T导通,电容C通过R2放电。uc按指数规律下降,

__2RSD1,Q的状态不变,当ucVcc时比较器A1输出由0变为1,R-S触发器的D3_

u0的状态仍为低电平。

_1tt2时刻,uc下降到Vcc,比较器A2输出由1变为0,R---S触发器的RD1,3

SD0,触发器处于1,定时器输出u01。此时电源再次向电容C放电,重复上述过程。

通过上述分析可知,电容充电时,定时器输出u01,电容放电时,u00,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡器无外部信号输入,却能输出矩形波, _

其实质是将直流形式的电能变为矩形波形式的电能。

3、振荡周期

由图(D)可知,振荡周期TT1T2。T1为电容充电时间,T2为电容放电时间。 充电时间 T1(R1R2)Cln20.7(R1R2)C

放电时间 T2R2Cln20.7R2C

矩形波的振荡周期TT1T2ln2(R12R2)C0.7(R12R2)C

因此改变R1、R2和电容C的值,便可改变矩形波的周期和频率。

【555振荡电路,】

对于矩形波,除了用幅度,周期来衡量外,还有一个参数:占空比q,q=(脉宽tw)/(周期T),t

比qw指输出一个周期内高电平所占的时间。图(C)所示电路输出矩形波的占空T1T1RR2。 1

TT1T2R12R2

555振荡电路 第三篇_555定时器组成的振荡器 全面

555定时器组成的振荡器

晶体振荡器的作用是产生时间标准信号。数字钟的精度,主要取决于时间标准信号的频率及其稳定度。因此,一般采用石英晶体振荡器经过分频后获得时间标准信号。也可采用由门电路或555定时器构成的多谐振荡器作为时间标准信号源。

555定时器可以实现模拟和数字两项功能。

1.可产生精确的时间延迟和振荡,内部有3个5kΩ的电阻分压器,故称555。 2.电源电压电流范围宽,双极型:5~16V;CMOS:3~18V。 3.可以提供与TTL及CMOS数字电路兼容的接口电平。 4.可输出一定的功率,可驱动微电机、指示灯、扬声器等。

5.应用:脉冲波形的产生与变换、仪器与仪表、测量与控制、家用电气与电子玩具等领域。

6.TTL单定时器型号的最后3位数字为555,双定时器的为556;CMOS单定时器的最后4位数为7555,双定时器的为7556。它们的逻辑功能和外部引线排列完全相同。 555定时器的集成电路外形、引脚、内部结构如图4-1-1所示。

(a) 外引线排列图 (b) 内部结构图

GND:接地端TR:低触发端 OUT:输出端R:复位端 CO:控制电压端 TH:高触发端 D:放电端 VCC:电源端

图4-1-1 555定时器外引线排列及内部结构图

1kHz秒脉冲多谐振荡器

555定时器构成的多谐振荡器如图4-1-3所示。

图4-1-3 555定时器构成的1kHz秒脉冲多谐振荡器原理图

该振荡器的工作原理是:接通VCC后,VCC经R44和R45对C1充电。当uc上升到VCC时,uo=0,T导通,C1通过R45和T放电,uc下降。当uc下降到VCC时,uo又由0变为1,T截止,VCC又经R44和R45对C1充电。如此重复上述过程,在输出端uo产生了连续的矩形脉冲。

振荡频率和占空比的估算:

1.电容C充电时间:tP10.7(R44R45)C1 2.电容C放电时间:tP20.7R45C1 3.电路谐振频率f的估算:

振荡周期为:T0.7(R442R45)C1 振荡频率为:f

23

13

111.43



T0.7(R442R45)C1(R442R45)C1

4.占空比D:Dtp10.7(R44R45)C1RR45

44

T0.7(R442R45)C1R442R45

图4-1-6为555定时器构成叮咚门铃原理图。可以看出该电路就是前面555震荡电路的应用,就是有555震荡电路改进得来的。按钮S、R4、C1构成冲放电路。4脚的电压是冲放电路中C1的电压。

图4-1-6 叮咚门铃原理图

根据图4-1-6画出图4-1-7所示555定时器构成的叮咚门铃接线图,并细心装配。完成后,必须再仔细检查焊点和连线是否符合要求,元器件到位是否准确,电解电容器的极性是

否与图纸一致,经检查无误后,将集成电路的④脚与电源直接相连,可听出扬声器中发出 的声音。按下S,并调整R2、R3和C2的数值可改变声音的频率,可以听出C2越小频率声

音的频率越 。断开S,调整电阻R1的阻值,此时扬声

器中发出 的声音。

图4-1-7 叮咚门铃接线图

表4-1-2 叮咚门铃电路制作元件清单

通过前面的做一做,我们知道图4-1-6所示电路能发出“叮咚”的声音。该电路实际上是用NE555集成电路接成的多谐振荡器。当按下S,电源经VD2对C1充电,当集成电路4脚(复位端)电压大于1V时,电路振荡,扬声器中发出“叮”声。松开按钮S,C1电容储存的电能经R4电阻放电,但集成电路④脚继续维持高电平而保持振荡,但这时因R1电阻也接入振荡电路,振荡频率变低,使扬声器发出“咚”声。当C1电容器上的电能释放一定时间后,集成电路4脚电压低于IV,此时电路将停止振荡。再按一次按钮,电路将重复上述过程。

一、单稳态触发器 1.单稳态触发器的特点

单稳态触发器具有下列特点:第一,它有一个稳定状态和一个暂稳状态;第二,在外来触发脉冲作用下,能够由稳定状态翻转到暂稳状态;第三,暂稳状态维持一段时间后,将自

动返回到稳定状态,而暂稳状态时间的长短,与触发脉冲无关,仅决定于电路本身的参数。

2.555定时器构成的单稳态触发器的电路组成及其工作原理 单稳态触发器的组成如图4-1-8所示。

接通VCC后瞬间,VCC通过R对C充电,当uc上升到VCC时,比较器C1输出为0,将触发器置0,uo=0。这时Q=1,放电管T导通,C通过T放电,电路进入稳态。 ui到来时,因为ui

23

1

VCC,使C2=0,触发器置1,uo又由0变为1,电路进入暂稳3

23

态。由于此时Q=0,放电管T截止,VCC经R对C充电。虽然此时触发脉冲已消失,比较器C2的输出变为1,但充电继续进行,直到uc上升到VCC时,比较器C1输出为0,将触发器置0,电路输出uo=0,T导通,C放电,电路恢复到稳定状态。 3.主要参数的估算

(1) 输出脉冲宽度:tP=1.1RC (2) 恢复时间:tre=3~5RCES·C (3) 最高工作频率:fmax 二、施密特触发器

1.555定时器组成的施密特触发器的电路如图4-1-9(a)所示。只要将555定时器的2号脚和6号脚接在一起,就可以构成施密特触发器。我们简记为“二六一搭”。 2.施密特触发器的工作原理

(1) 当ui=0时,由于比较器C1=1、C2=0,触发器置1,即Q=1、Q0,uo1=uo=1。ui升高时,在未到达VCC以前,uo1=uo=1的状态不会改变。

(2) ui升高到VCC时,比较器C1输出为0、C2输出为1,触发器置0,即Q=0、Q1,uo1=uo=0。此后,ui上升到VCC,然后再降低,但在未到达VCC/3以前,uo1=uo=0的状态不会改变。

(3) ui下降到VCC时,比较器C1输出为1、C2输出为0,触发器置1,即Q=1、Q0,

1

tptre

23【555振荡电路,】

23

23

u

3.滞回特性及主要参数 (1) 滞回特性

图4-1-10所示是施密特触发器的电压传输特性即输出电压uo与输入电压ui的关系曲线。当vi

1122VCC时,voVOH;当VCCviVCC时,vo保持原状态不变;当viVCC3333

时,voVOL。

图4-1-10 施密特触发器滞回特性曲线

(2) 主要参数

正向阈值电压(或叫上触发电平)VT是指ui上升过程中,使施密特触发器状态翻转,输出电压uo由高电平跳变到低电平时,所对应的输入电压值叫做正向阈值电压,并用VT表示,在图2-1-10中VT

2

VCC。 3

负向阈值电压(或叫下触发电平)VT是指ui下降过程中,使施密特触发器状态翻转,输出电压uo由低电平跳变高电平到时,所对应的输入电压ui值叫做负向阈值电压,并用VT表示,在图2-1-10中VT

1

VCC。 3

回差电压VT又叫滞回电压是正向阈值电压VT与负向阈值电压VT之差,即

555振荡电路 第四篇_用555构成的多谐振荡器

555构成多谐振荡器的报警电路设计

一、 设计目的

555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。

【555振荡电路,】

本实验根据555定时器的功能强以及其适用范围广的特点,设计实验研究它的内部特性和简单应用。

555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555, 555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3

图8-1 555定时器内部方框图

通过对本次设计能够更好地掌握555的作用及应用。同时掌握报警电路的原理及设计方法。

二、 设计要求【555振荡电路,】

① 画出电路原理图(或仿真电路图);

② 元器件及参数选择;

③ 电路仿真与调试;

④ PCB文件生成与打印输出。

(3)制作要求 自行装配和仿真,并能发现问题和解决问题。

(4)编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、 设计原理

多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故 称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳

态之 间来回转换,故又称它为无稳态电路。由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚) 和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接处。由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为低电平,小于(1/3)Vcc,故高电平触发 端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使 电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关 。充电时间常数T充=(R1+R2)C。不难理解,接通电源后,电 路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。

图1 555定时器构成的多谐振荡器电路及工作波形

图1

(1)组成施密特触发器

电路如图8-7所示,只要将脚2和6连在一起作为信号输入端,即得到施密特触发器。图8-8画出了

设被整形变换的电压为正弦波、Vi和Vo的波形图。 ,其正半波通过二极管D同时加到555定时器的2脚和六脚,得到的Vi为半波整流波形。当Vi上升到时,Vo从高电平转换为低电平;当Vi下降到时,Vo又从低电平转换为高电平。

回差电压: △V=

图8-7 555构成施密特触发器 图8-8 555构成施密特触发器的波形图

(2)555构成多谐振荡器

由555定时器和外接元件R1、R2、C构成多谐振荡器,脚2与脚

6直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端

放电,使电路产生振荡。电容C在和之间充电和放电,从而在输出端得到一系列的矩形波,对应的波形如图8-5所示。

图8-4 555构成多谐振荡器 图8-5 多谐振荡器的波形图 输出信号的时间参数是: T=

=0.7(R1+R2)

C

=0.7R2C 其中,为VC由上升到所需的时间,为电容C放电

所需的时间。555电路要求R1与R2均应不小于1KΩ,但两者之和应不大于3.3MΩ。外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。因此,这种形式的多谐振荡器应用很广。 一般多谐振荡器的工作过程可分为以下四个阶段:

(1) 暂稳态 I(O~tl): 电容 C 充电 , 充电回路为 VDD → R1 → R2 → C →地 , 充电时间常数为 为τ1=(R1+R2)C, 电容 C 上的电压

555振荡电路 第五篇_555时基电路构成的压控振荡器.doc

555时基电路构成的压控振荡器

摘要:555电路是集模拟电路和数字电路于一体的集成电路,是在上世纪70年代,为制作定时器而被设计制造的。该电路具有灵活的引出端脚,使用者尽用其能,将其广泛运用于电子行业的各个领域内,并且该电路在科研、仪表、测量、控制等诸多领域内也得到了广泛的应用。本文主要从原理和应用两个方面讲述由555无稳态多谐振荡器电路构成的压控振荡器。 关键词:

1、 引言

如今,555时基电路得到如此广泛的应用,这得益于该电路本身独特的优越性。按照555

电路的应用特点,以数字电路的分类方法作为基本方式,可将其分为:多谐振荡器的应用方式、单稳态电路的应用方式、双稳态(R-S触发器)电路的应用方式以及施密特电路的应用方式。本文要讨论的压控振荡器是一种结构特殊的多谐振荡器,全称为电压控制的多谐振荡器,简称VCO。由555电路构成的压控振荡器具有电路简单、成本低、产生脉冲波形的线性度好等特点,因此压控振荡器电路在锁相技术、A/D转换、脉冲调制及遥测技术中有广泛的用途,是一种十分重要的电路。.

2、555电路原理图[1]

图1、原理电路图

整个原理电路图有5个部分组成,这5个部分可以分为三大部分进行解释: (1) 分压器与比较器 三个等值电阻(每个5KΩ)串联进行分压,将电源电压分别分压为UCC/3和2UCC/3。其中2UCC/3加至电压比较器A1的同相输入端,作为它的参考电压;UCC/加之电压比较器A2的反相输入端,作为它的参考电压。A1、A2是由两个差分电路组成的电压比较器,相当于两个运算放大器的输入电路。这两个参考电压决定了555电路的输入特性。

上述原理电路图有两个输入端,分别称为触发端(TR、2脚)和阀值端(TH、6脚),它们分别是A2的同相输入端和A1的反相输入端。根据电压比较器的工作原理:当对输入端2脚上加上低于UCC/3的输入电压时,比较器A2输出低电平;当加上高于UCC/3的输入电压时,A2输出高电平。对于输入端6脚,当对其加上低于2UCC/3的输入电压时,A1输出高电平;当对其加上高于2UCC/3的输入电压时,A1输出低电平。 (2) 基本R-S触发器

[1]

在数字电路中,触发器分为同步R-S触发器和基本R-S触发器,555电路中使用 是基本R-S触发器。这种触发器由两个非门交叉连接组成,它的特点是需要低电平触发,即只有在输入端加以低电平或负脉冲,触发器才能翻转。

它的逻辑功能是:当R=0,S=1时,不管触发器原来是什么状态,都会被置成低电平0的状态;当R=1,S=0时,触发器被置成高电平1的状态;当R=1,S=1时,触发器保持原状态不变;当R=0,S=0时,触发器的状态不定,不过这种状态是不允许出现的,也是不可能出现的。 (3)输出级

[2]

为了提高555电路带负载的能力,使其能够直接驱动一定功率的负载,并且隔离负载对定时器的影响,在它的R-S触发器之后加入了一级输出级G3。该输出级G3将R-S触发器的输出电平进行反相,并同时给予一定的功率放大后输出,这就使得555电路可以直接驱动小型继电器、扬声器等。 (4)放电电子开关

[3]

在由555电路组成的定时定路及各类触发器和振荡器中,它们的工作状态都和电容器的充、放电有关。例如在定时电路中,通常把上比较器的输入端TH(6脚)接到只电容C的正极。这个电容又通过一只串联电阻R接到电源的正极。工作时,电源通过电阻R向电容C充电,当电容充电使其电压达到阀值电平后,比较器A1输出低电平,触发器R-S翻转,它的输出端变为高电平,经过一级反相器反相为低电平后作为一种控制信号输出,实现对电路的一种工作状态的控制。 ( 5 ) 555定时器的基本功能

[4]

①R=0,无论其他输入为何值(用×表示),必有Q=1,UO为低电平0,TD饱和导通,故R端称为置0端或复位端。

②R=1,UTH>2UCC/3、UTR>UCC/3时,UO1为低电平,UO2为高电平,使Q=1、

Q=0,UO为低电平0,TD饱和导通。

③R=1,UTH<2UCC/3、UTR>UCC/3时,UO1和UO2均为高电平,基本R-S触发器维持原状态,故UO和TD也维持原状态。

④R=1,UTH<2UCC/3、UTR<UCC/3时,UO1为高电平,UO2为低电平,使Q=0,Q=1,UO为高电平1,TD截止。

将上述555电路的功能总结如下表:

UTHUTR0

UOUOLUOL不变UOH

TD的状态导通导通不变截止

>2UCC/3<2UCC/3<2UCC/3

>UCC/3>UCC/3<UCC/3

111

表1、555定时器的功能表

3、压控振荡器

(1)压控振荡器的组成原理图

(a)

图2、由555电路组成的压控振荡器(b)

压控振荡器的组成原理如图2所示,其中图(a)是模拟一个电压可变的工作电源加至控 制 端5脚;图(b)是用一只电位器RP,通过RP对控制信号源电压进行调节,将调节后的电压加至控制端5脚。两电路结构不尽相同,但其原理是一样的。

上述压控振荡器的控制电压加在555电路的UC端,即第5脚,它对振荡电路振荡频率的控制过程如下。

从555电路的内部结构可知,这个控制端UC电压是取自3只分压电阻R1与R2间,即取自比较器A1的同相输入端。UC端的固定电压即比较器A1的参考电压,由电路内的3只

分压电阻决定,为固定的2UCC/3。如果对UC端另加一个随时变化的电压,那么这个参考电压就不再是固定的2UCC/3,而是一个随外加电压变化的参考电压。 在多种多谐振荡器中,5脚总是通过一只电容接地的,其目的是防止外界杂波的干扰使5脚电压发生变化,以使其保持一个稳定的2UCC/3。而在压控振荡器电路中,5脚则是用来加入控制电压的。当对5脚加上不同的控制电压后,两个比较器的参考电压就发生了变化,555电路的阀值电压和触发电压就跟着发生变化,整个振荡电路的振荡频率也就随之发生了变化。

[5]

在555电路的实验过程中,它有一个接通电压UT+和一个断开电压UT-,它们分别是

UT+=2UCC/3和UT-=UCC/3。这一工作特性是当UCT=0时的情况。在这一情况下,振荡电路的输出脉冲频率可按公式

f

【555振荡电路,】

111.443

式① 

T0.7(RA2RB)C(RA2RB)C

计算。

当UC端加上某一控制电压后,例如加上低于2UCC/3的电压时,电路的阀值电压UTH(即UT+)跟着发生变化,使UTH变为UCT,UTR变为UCT/2。由于新的阀值电压比原来的阀值电压要低,所以电容C充电到新的阀值电压所需的时间比原来需要的时间要短。而电容C的放电时间是和UT+与UT-的比值有关的,虽然新的阀值电压低了,但他们的比值不变,所以放电时间不变。这样,就使得新的输出波形的脉冲周期t1和T变短了,也就是振荡频率变快了。曲线如图3

[6]

所示。

UCUUT+'(UCT)

UT-'(1/2UCT)

UO

图3、输出波形的周期

根据上述分析指导,如果在控制端UC上加上高于2UCC/3的电压,则输出波形的脉冲周

期t1和T要变长,对应的振荡频率就会变低。 综上所述,当对UC端加上低于2UCC/3的控制电压后,会使输出脉冲的周期t1和T变短,振荡频率加快;反之,当对UC断加上了高于2UCC/3的控制电压后,会使输出脉冲的周期t1和T变长,振荡频率变低。压控振荡器就是根据这一原理工作的。在具体的实用电路中,加在5脚的控制电压有各种各样的形式,随着电路在不同的应用处所而不同。

4、压控振荡器的应用[7]

(1)在脉宽调制电路中的应用

脉宽调制就是将输入交流信号的电压变化变化为脉冲宽度的变化,而脉冲宽度的变化实际上就是占空比q的变化,占空比由下式确定 q

RARB

式②。

RA2RB

其中,RA和RB为图2所示电路中的电阻元件。

如果将555时基电路按间接反馈式多谐振荡器的方式连接好,并使得RB》RA。这样,所接好的电路输出的就近似为方波信号。如果进一步在5脚电压控制端加上音频调制电压Ui,由于所加的Ui电压变化会改变555电路的阀值电压,这样,555电路输出地脉冲宽度就会随着音频电压的幅度变化而变化,从而实现了对脉冲的宽度进行调制的目的。 (2)在锁相环路中的应用

锁相环是一种由鉴相器、环路滤波器和压控振荡器三部分组成的环路。锁相环路是一个闭合的相位反馈系统,其构成图如下图所示。

图4、锁相环路的构成方框图

当没有输入信号,即Ui=0时,压控振荡器输出的是其固有的中心频率f。

当有Ui信号加到压控振荡器输入端时,压控振荡器输出信号的相位φO在鉴相器中和输入信号的相位φi进行比较,产生一个与相位误差有关的直流电压Ud,该信号经环路滤波器滤除高频成分后作为压控振荡器的控制电压UCT。

这样,压控振荡器在控制电压UCT的控制下,使其输出信号UO的频率逐渐向输入信号Ui的频率逼近,因此差拍频率越来越小,直至为零。上述过程通常也叫做“跟踪”或者“捕捉”。经过跟踪或捕捉过程后,最终就会使压控振荡器的输出频率与输入信号的频率完全同步达到稳定。当压控振荡器是接收机中的本地振荡器时,利用锁相环路就可以是本地振荡器的振荡频率与远处发射的高频信号频率达到一致,以使接收到的广播或电视信号稳定。

5、结论

本文经过对555时基电路的原理进行基本的讨论,讲述了555时基电路在组成压控电路时的原理及其重要性,说明了555时基电路作为一种基本电路的广泛用途。555时基电路不

555振荡电路 第六篇_555振荡器

多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故 称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之 间来回转换,故又称它为无稳态电路。

由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚) 和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接处。

由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为低电平,小于(1/3)Vcc,故高电平触发 端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使 电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关 。充电时间常数T充=(R1+R2)C。 由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电 容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。

为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电 路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。

图1 555定时器构成的多谐振荡器电路及工作波形

2.叮咚门铃

如图2所示是一种能发出“叮、咚”声门铃的电路原理图。它的音质优美逼真,装调简单容易、成本较低 ,图中的IC便是集成555定时器,它构成多谐振荡器。按下按钮SB

(装在门上),振荡器振荡,扬声器

发 出“口丁”的声音。与此同时,电源通过二极管VD1给c1充电。放开按钮时,c1便通过电阻R1放电,维持 振荡。但由于SB的断开,电阻R2被串入电路,使振荡频率有所改变,振荡频率变小,扬声器发出“咚”的 声音。直到C1上的电压放到不能维持555振荡为止,即4脚变为低电平,3脚输出为零。“咚”声余音的长 短可通过改变C1的数值来改变。

3.旋光彩灯控制电路

旋光彩灯控制电路如图3所示,电路中的IC1 555组成多谐振荡器,它可以产生可调的

图2 叮咚门铃的电路原理图

时钟脉冲信号,改变可调电位器RP可改变时钟脉冲信号的频率。IC2是由CD4017组成的计数器,CD40l7是 十进制计数器,可作为十分频使用,并具有译码输出功能。CD4017的引脚图如图4所示,其功能表见表1。

图3 旋光彩灯控制电路

图4 CD4017引脚图 表1 CD4017的功能表【555振荡电路,】

当IC1输出的时钟信号加到IC2的CP端时,IC2的输出端Q0~Q9依次出现高电平,并驱动相应的VT1~VT10 。依次导通,发光二极管VD1~VD10.也随着依次点亮,如果将发光二极管VD1~VD2。沿着圆周首尾相接 排列,则会给人一种旋转发光的感觉,调节RP可使旋转发光的速度发生变化。

555振荡电路 第七篇_555多谐振荡器

电控学院

EDA工具训练实习报告

题 目:院 (系): 电气与控制工程学院

专业班级: 自动化1206

姓 名: 刘迪

学 号: 1106050320

指导教师: 许琼

2015年 1月 15日

一.仿真实验目的

1)通过仿真实验,熟悉555多谐振荡器的功能。

2)了解555多谐振荡器的应用。

2.元器件选取以及操作过程

1)电源:Place Source→POWER_SOURCES→DC_POWER,选取电源并设置电压为5V。

2)接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。

3)电容:Place Basic→CAPACITOR,选取电容值为7nF的电容。

4)电阻:Place Basic→RESISTOR,选

本文来源:http://www.gbppp.com/jd/466756/

推荐访问:ne555振荡电路 555振荡电路原理

热门文章